日韩性视频-久久久蜜桃-www中文字幕-在线中文字幕av-亚洲欧美一区二区三区四区-撸久久-香蕉视频一区-久久无码精品丰满人妻-国产高潮av-激情福利社-日韩av网址大全-国产精品久久999-日本五十路在线-性欧美在线-久久99精品波多结衣一区-男女午夜免费视频-黑人极品ⅴideos精品欧美棵-人人妻人人澡人人爽精品欧美一区-日韩一区在线看-欧美a级在线免费观看

歡迎訪問 生活随笔!

生活随笔

當前位置: 首頁 > 编程资源 > 编程问答 >内容正文

编程问答

uvm 形式验证_uvm面试题

發布時間:2023/12/15 编程问答 56 豆豆
生活随笔 收集整理的這篇文章主要介紹了 uvm 形式验证_uvm面试题 小編覺得挺不錯的,現在分享給大家,幫大家做個參考.

1、uvm_component與uvm_object之間的區別和聯系?

uvm_component類:是用于構成UVM仿真平臺的組件,可組成樹形結構,在bulid_phase中創建,之后整個仿真周期內一直存在,可通過interface連接到DUT的端口上或者通過TLM port完成uvm_component間的連接,可通過phase機制控制平臺的仿真行為。

uvm_object類:uvm中基本的類,可用于封裝數據流(事務),從一個組件(component)產生傳遞到另一個組件,無phase機制,不能形成樹狀結構。

2、uvm中的port都有哪些,各有什么區別?

analysis port :可以不連接,也可以連接多個analysis export,以廣播的形式傳輸數據,用于monitor將數據發到reference model和scoreboard??梢酝ㄟ^該analysis port端口調用在另一個組件中實現的方法。

TLM port:必須一對一連接到export上,否則編譯會報錯,常用于driver和sequencer之間的transaction通信。

3、uvm的factory機制,其優點是什么?

factory是一種設計模式,也叫編程技巧,在使用工廠模式的時候分為三個步驟:
1)注冊
在定義一個類的時候使用UVM已經提供的宏進行注冊。

`uvm_component_utils(class_type_name)
`uvm_object_utils(class_type_name)

2)實例化對象
在對component或object型對象進行實例化的時候要使用靜態方法create(),不能采用new()去實例化。
3. 重載override。使用override實現對象的替換
該機制的優點是在不更改平臺代碼的前提下實現對象的替換,在其內部有兩張表,一張注冊表,一張替換表,在例化的時候,如果該類替換表中無內容,就用注冊表的類進行例化,否則就使用替換表的內容進行例化。

4、function phase和task phase區別?

只有run_phase(及其并行的12個phase)是task phase(消耗仿真時間的phase),其他phase都是function phase(不消耗仿真時間的非阻塞類型phase)。

5、uvm平臺中,用例仿真是怎么樣開始的怎么樣停止的?

phase的啟動通過在頂層或者測試用例中調用run_test任務,該任務會首先創建test top,然后調用所有的phase,開始仿真。
在遇到最后一個組件的drops objection時,結束仿真。

6、uvm平臺中,config_db機制有什么作用?

config_db機制用于在uvm驗證平臺間傳遞參數,通常都是成對出現的,set函數是寄信,get函數是收信,set和get函數的第一個和第二個參數聯合起來構成目標路徑,第三個參數是目標成員的記號,第四個參數是設置的值或者對象。

7、使用config_db機制,傳遞函數未成功可能是什么原因導致的?

可能是set和get參數所指的路徑不一致,或者第三個參數成員記號不一致導致的。

8、什么是objection機制?

UVM平臺通過objection機制控制驗證平臺的關閉。在每個phase中,UVM會檢查 是否objection被提起(raise_objection),如果被提起,那么等待這個objection被撤銷(drop_objection)后仿真停止;如果未提起,那么則立馬結束當前的phase。
可以簡單的將drop_objection理解成finish的替代者,只不過之前必須調用raise_objection。raise_objection語句必須在main_phase中第一個消耗仿真時間的語句之前。如:$display不消耗時間,@(posedge top.clk)需要消耗仿真時間。

9、filed automation機制?

uvm中的field_automation實現了類中的copy,compare,print等基本的操作函數,在使用時,通過uvm_field_utils_begin和uvm_field_utils_end來加到自己寫的class中。

10、sequence和sequencer如何建立聯系?

通過三種方式實現sequence和sequencer的掛接。
1) 使用start任務

2) 使用uvm_config_db#(uvm_object_wrapper)配置default_sequence

3) 使用uvm_config_db#(uvm_sequence_base)配置default_sequence

11、運行sequence的步驟?
1) 創建一個序列
2) 配置或隨機化序列
3)開始一個sequence,使用sequence.start()方法啟動序列,start方法需要輸入一個指向sequencer的參數

12、m_sequencer句柄?
可以理解為member_sequencer,可以理解為每個sequence中默認都有m_sequencer這一成員變量。m_sequencer 是一個指向執行當前sequence的sequencer句柄。其類型為uvm_sequencer_base,該類m_sequencer是父類,不能直接用子類中定義的各類數據,解決辦法就是使用p_sequencer,

13、p_sequencer句柄?
使用 `uvm_declare_p_sequencer(my_sequencer) 宏聲明p_sequencer,其本質是在當前sequence中聲明了一個成員變量p_sequencer。指向的類型為my_sequencer,即p_sequencer是my_sequencer的句柄

總結

以上是生活随笔為你收集整理的uvm 形式验证_uvm面试题的全部內容,希望文章能夠幫你解決所遇到的問題。

如果覺得生活随笔網站內容還不錯,歡迎將生活随笔推薦給好友。

主站蜘蛛池模板: 可以免费看毛片的网站 | 精品国产精品国产偷麻豆 | 女同动漫免费观看高清完整版在线观看 | 国精无码欧精品亚洲一区蜜桃 | 高清av一区二区 | 国产精华7777777 | 男女操操| 亚洲成人午夜在线 | 久久久久久久久久久久久女过产乱 | 欧美日韩一区二区三区四区五区六区 | 黄色网久久 | 亚洲91网站 | 美女高潮黄又色高清视频免费 | 黑人三级视频 | 免费一级网站 | 久久亚洲免费视频 | 男女激情大尺度做爰视频 | 多啪啪免费视频 | 久久性 | 国产123| 师生出轨h灌满了1v1 | 精品国产无码在线观看 | 色www亚洲国产张柏芝 | 成人在线a | 日韩爽爽视频 | 欧美成片vs欧美 | 日操夜操天天操 | 日韩成人精品在线观看 | 国产又大又粗又爽 | 韩国伦理片观看 | 国产精品无码无卡无需播放器 | 免费黄色在线看 | 欧美天堂一区 | 中文字幕无码日韩专区免费 | 免费在线日韩 | 91网站免费 | 不卡中文av | 亚洲中文字幕97久久精品少妇 | 女人夜夜春 | 超碰成人在线观看 | 国产又大又粗又长 | jizz色| 国产123在线| 在线播放91 | 免费观看一级视频 | 亚洲欧美精品午睡沙发 | 日韩人妻一区二区三区蜜桃 | 久久久精品人妻一区二区三区 | 日韩久操| 成人a网站 | 无码久久精品国产亚洲av影片 | 中文字幕免费一区 | 香蕉视频在线观看视频 | 奶水喷溅 在线播放 | 自宅警备员在线观看 | 中文字幕av免费 | 成人免费网站在线 | 米奇7777狠狠狠狠视频 | 国产一二在线观看 | 欧美在线国产 | 国产丝袜在线播放 | 国产传媒国产传媒 | 大乳护士喂奶hd | 高清中文字幕mv的电影 | 亚洲a一区二区 | 一区二区三区韩国 | 图片区视频区小说区 | 欧美福利在线视频 | 玖玖色资源 | 久久嫩草精品久久久久 | 免费av网站大全 | 国产午夜精品一区 | 91亚洲精品国偷拍自产在线观看 | 国产精品国产精品国产专区蜜臀ah | 国产黄色片av| 91一区二区在线观看 | 日本色视频 | 欧洲一区二区 | 99久久99久久精品国产片果冻 | 国产做爰视频免费播放 | 久久aaa | 亚洲视频一区二区三区 | 大奶在线观看 | 免看一级a毛片一片成人不卡 | 日韩美女毛片 | 欧美日韩在线免费观看 | 人人妻人人澡人人爽精品日本 | 中文字幕在线观看视频免费 | 久久538| 惊艳大片mv视频 | 欧美91av| aaa成人| 欧美影视一区二区三区 | 综合色99| 精品欧美一区二区三区免费观看 | 日韩视频精品一区 | 少妇粉嫩小泬喷水视频www | 在线免费观看国产精品 | 一本一道av无码中文字幕 |