CORDIC算法VHDL设计实现及仿真
CORDIC(Coordinate Rotation Digital Computer)算法即坐標旋轉數字計算方法,是J.D.Volder1于1959年首次提出,主要用于三角函數、雙曲線、指數、對數的計算。該算法通過基本的加和移位運算代替乘法運算,使得矢量的旋轉和定向的計算不再需要三角函數、乘法、開方、反三角、指數等函數。
CORDIC VHDL語言實現,頂層代碼如下:
–the top module
LIBRARY IEEE;
use ieee.std_logic_1164.all;
use ieee.std_logic_arith.all;
use ieee.std_logic_unsigned.all;
ENTITY NCO IS
PORT(
clk: IN STD_LOGIC;
nRST: IN STD_LOGIC;
ena: IN STD_LOGIC;
in_rd: IN STD_LOGIC;
fre_chtr: IN STD_LOGIC_VECTOR(27 downto 0);
pha_chtr: IN STD_LOGIC_VECTOR(27 downto 0);
sin_out: OUT STD_LOGIC_VECTOR(28 downto 0);
cos_out: OUT STD_LOGIC_VECTOR(28 downto 0);
out_rd: OUT STD_LOGIC
);
END NCO;
ARCHITECTURE BV OF NCO IS
signal phase_in : STD_LOGIC_VECTOR(27 downto 0);
– three module
COMPONENT phase_control IS
PORT(
clk: IN STD_LOGIC;
nRST: IN STD_LOGIC;
e
總結
以上是生活随笔為你收集整理的CORDIC算法VHDL设计实现及仿真的全部內容,希望文章能夠幫你解決所遇到的問題。
- 上一篇: 哪些要素会让咱们呈现抑郁症的病症
- 下一篇: Present算法verilog设计实现