日韩性视频-久久久蜜桃-www中文字幕-在线中文字幕av-亚洲欧美一区二区三区四区-撸久久-香蕉视频一区-久久无码精品丰满人妻-国产高潮av-激情福利社-日韩av网址大全-国产精品久久999-日本五十路在线-性欧美在线-久久99精品波多结衣一区-男女午夜免费视频-黑人极品ⅴideos精品欧美棵-人人妻人人澡人人爽精品欧美一区-日韩一区在线看-欧美a级在线免费观看

歡迎訪問 生活随笔!

生活随笔

當前位置: 首頁 > 编程资源 > 编程问答 >内容正文

编程问答

8位数控分频器的设计_8位数控分频器

發布時間:2024/9/3 编程问答 31 豆豆
生活随笔 收集整理的這篇文章主要介紹了 8位数控分频器的设计_8位数控分频器 小編覺得挺不錯的,現在分享給大家,幫大家做個參考.

數控分頻器的功能就是當在輸入端給定不同輸入數據時,將對輸入的時鐘信號實現不同的分頻比,即可實現設置數的分頻計數器。

程序:

library ieee;

use ieee.std_logic_1164.all;

use ieee.std_logic_unsigned.all;

entity dvf is

port(

clk:in std_logic;

d:in std_logic_vector(7 downto 0);

four:out std_logic

);

end;

architecture one of dvf is

signal full:std_logic;

begin

p_reg:process(clk)

variable cnt8:std_logic_vector(7 downto 0);

begin

if clk'event and clk='1' then

if cnt8="11111111" then

cnt8:=d;

full<='1';

else cnt8:=cnt8+1;

full<='0';

end if;

end if;

end process p_reg;

p_div:process(full)

variable cnt2:std_logic;

begin

if full'event and full='1' then

cnt2:=not cnt2;

if cnt2='1'then four<='1';

else four<='0';

end if;

end if;

end process p_div;

end;

RTL原圖:

其仿真圖:

總結

以上是生活随笔為你收集整理的8位数控分频器的设计_8位数控分频器的全部內容,希望文章能夠幫你解決所遇到的問題。

如果覺得生活随笔網站內容還不錯,歡迎將生活随笔推薦給好友。